1. Pre-Defined Targets#

The following are examples are pre-built targets that come with SiliconCompiler which you can use for your own builds. These are typically “demo” targets which use a specific combination of predefined pdk, library, flow and more configurations.

1.1. asap7_demo#

ASAP7 Demo Target

Setup file: asap7_demo.py

1.1.1. Flows#

1.1.2. PDK#

1.1.3. Libraries#

1.1.4. Configuration#

Keypath

Value

['asic', 'logiclib']

asap7sc7p5t_rvt

['asic', 'delaymodel']

nldm

['constraint', 'timing', 'slow', 'libcorner']

slow

['constraint', 'timing', 'slow', 'pexcorner']

typical

['constraint', 'timing', 'slow', 'mode']

func

['constraint', 'timing', 'slow', 'check']

  • setup
  • hold

['constraint', 'timing', 'fast', 'libcorner']

fast

['constraint', 'timing', 'fast', 'pexcorner']

typical

['constraint', 'timing', 'fast', 'mode']

func

['constraint', 'timing', 'fast', 'check']

  • setup
  • hold

['constraint', 'timing', 'typical', 'libcorner']

typical

['constraint', 'timing', 'typical', 'pexcorner']

typical

['constraint', 'timing', 'typical', 'mode']

func

['constraint', 'timing', 'typical', 'check']

power

['constraint', 'coremargin']

0.27

['constraint', 'density']

10.0

['option', 'mode']

asic

['option', 'pdk']

asap7

['option', 'stackup']

10M

['option', 'flow']

asicflow

['option', 'showtool', 'gds']

klayout

['option', 'showtool', 'lef']

klayout

['option', 'showtool', 'def']

openroad

['option', 'showtool', 'odb']

openroad

1.2. asic_demo#

“Self-test” target which builds a small 8-bit counter design as an ASIC, targeting the Skywater130 PDK.

This target is intended for testing purposes only, to verify that SiliconCompiler is installed and configured correctly.

Setup file: asic_demo.py

1.2.1. Flows#

1.2.2. PDK#

1.2.3. Libraries#

1.2.4. Checklists#

1.2.5. Configuration#

Keypath

Value

['asic', 'logiclib']

sky130hd

['asic', 'delaymodel']

nldm

['constraint', 'timing', 'slow', 'libcorner']

slow

['constraint', 'timing', 'slow', 'pexcorner']

maximum

['constraint', 'timing', 'slow', 'mode']

func

['constraint', 'timing', 'slow', 'check']

  • setup
  • hold

['constraint', 'timing', 'fast', 'libcorner']

fast

['constraint', 'timing', 'fast', 'pexcorner']

minimum

['constraint', 'timing', 'fast', 'mode']

func

['constraint', 'timing', 'fast', 'check']

  • setup
  • hold

['constraint', 'timing', 'typical', 'libcorner']

typical

['constraint', 'timing', 'typical', 'pexcorner']

typical

['constraint', 'timing', 'typical', 'mode']

func

['constraint', 'timing', 'typical', 'check']

power

['constraint', 'outline']

  • (0.0, 0.0)
  • (50.0, 50.0)

['constraint', 'corearea']

  • (5.0, 5.0)
  • (45.0, 45.0)

['constraint', 'coremargin']

4.6

['constraint', 'density']

10.0

['option', 'mode']

asic

['option', 'target']

siliconcompiler.targets.skywater130_demo

['option', 'pdk']

skywater130

['option', 'stackup']

5M1LI

['option', 'flow']

asicflow

['option', 'showtool', 'gds']

klayout

['option', 'showtool', 'lef']

klayout

['option', 'showtool', 'def']

openroad

['option', 'showtool', 'odb']

openroad

['option', 'quiet']

True

1.3. fpgaflow_demo#

Demonstration target for running the open-source fpgaflow.

Setup file: fpgaflow_demo.py

1.3.1. Flows#

1.3.2. Configuration#

Keypath

Value

['option', 'mode']

fpga

['option', 'flow']

fpgaflow

['option', 'showtool', 'gds']

klayout

['option', 'showtool', 'lef']

klayout

['option', 'showtool', 'def']

openroad

['option', 'showtool', 'odb']

openroad

1.4. freepdk45_demo#

FreePDK45 demo target

Setup file: freepdk45_demo.py

1.4.1. Flows#

1.4.2. PDK#

1.4.3. Libraries#

1.4.4. Configuration#

Keypath

Value

['asic', 'logiclib']

nangate45

['asic', 'delaymodel']

nldm

['constraint', 'timing', 'worst', 'libcorner']

typical

['constraint', 'timing', 'worst', 'pexcorner']

typical

['constraint', 'timing', 'worst', 'mode']

func

['constraint', 'timing', 'worst', 'check']

  • setup
  • hold

['constraint', 'coremargin']

1.9

['constraint', 'density']

10.0

['option', 'mode']

asic

['option', 'pdk']

freepdk45

['option', 'stackup']

10M

['option', 'flow']

asicflow

['option', 'showtool', 'gds']

klayout

['option', 'showtool', 'lef']

klayout

['option', 'showtool', 'def']

openroad

['option', 'showtool', 'odb']

openroad

1.5. gf180_demo#

Global foundries 180 Demo Target

Setup file: gf180_demo.py

1.5.1. Flows#

1.5.2. PDK#

1.5.3. Libraries#

1.5.4. Checklists#

1.5.5. Configuration#

Keypath

Value

['asic', 'logiclib']

gf180mcu_fd_sc_mcu9t5v0

['asic', 'delaymodel']

nldm

['constraint', 'timing', 'slow', 'libcorner']

slow

['constraint', 'timing', 'slow', 'pexcorner']

wst

['constraint', 'timing', 'slow', 'mode']

func

['constraint', 'timing', 'slow', 'check']

  • setup
  • hold

['constraint', 'timing', 'fast', 'libcorner']

fast

['constraint', 'timing', 'fast', 'pexcorner']

bst

['constraint', 'timing', 'fast', 'mode']

func

['constraint', 'timing', 'fast', 'check']

  • setup
  • hold

['constraint', 'timing', 'typical', 'libcorner']

typical

['constraint', 'timing', 'typical', 'pexcorner']

typ

['constraint', 'timing', 'typical', 'mode']

func

['constraint', 'timing', 'typical', 'check']

power

['constraint', 'coremargin']

4.6

['constraint', 'density']

10.0

['option', 'mode']

asic

['option', 'pdk']

gf180

['option', 'stackup']

5LM_1TM_9K

['option', 'flow']

asicflow

['option', 'showtool', 'gds']

klayout

['option', 'showtool', 'lef']

klayout

['option', 'showtool', 'def']

openroad

['option', 'showtool', 'odb']

openroad

1.6. skywater130_demo#

Skywater130 Demo Target

Setup file: skywater130_demo.py

1.6.1. Flows#

1.6.2. PDK#

1.6.3. Libraries#

1.6.4. Checklists#

1.6.5. Configuration#

Keypath

Value

['asic', 'logiclib']

sky130hd

['asic', 'delaymodel']

nldm

['constraint', 'timing', 'slow', 'libcorner']

slow

['constraint', 'timing', 'slow', 'pexcorner']

maximum

['constraint', 'timing', 'slow', 'mode']

func

['constraint', 'timing', 'slow', 'check']

  • setup
  • hold

['constraint', 'timing', 'fast', 'libcorner']

fast

['constraint', 'timing', 'fast', 'pexcorner']

minimum

['constraint', 'timing', 'fast', 'mode']

func

['constraint', 'timing', 'fast', 'check']

  • setup
  • hold

['constraint', 'timing', 'typical', 'libcorner']

typical

['constraint', 'timing', 'typical', 'pexcorner']

typical

['constraint', 'timing', 'typical', 'mode']

func

['constraint', 'timing', 'typical', 'check']

power

['constraint', 'coremargin']

4.6

['constraint', 'density']

10.0

['option', 'mode']

asic

['option', 'pdk']

skywater130

['option', 'stackup']

5M1LI

['option', 'flow']

asicflow

['option', 'showtool', 'gds']

klayout

['option', 'showtool', 'lef']

klayout

['option', 'showtool', 'def']

openroad

['option', 'showtool', 'odb']

openroad